《Disney +》 迪士尼、Marvel、彼思、星球大戰…  《惡靈古堡8 村莊》發售前日!「到BIO村玩吧♪」第2話公開!   疫情下的東京電玩展2021現場直擊!   在明天穿上《怪奇物語》跨界造型並進入《Smite》中的顛倒世界   《地平線:西域禁地》:透過巧思戰勝敵人   《Godfall》現已登陸PS4,同時推出全新「Fire & Darkness」擴充內容   《Marvel’s Spider-Man 2》偕《Marvel’s Wolverine》一同曝光   睽違19年的系列全新作品將在TETRIS王者盃登場!「密特羅德 生存恐懼合作祭!」舉辦確定   《Predator: Hunting Grounds》狩獵夜驚魂 

Lam Research科林研發、Entegris和Gelest攜手推進EUV乾式光阻技術生態系統

商業

透過協同合作,全球晶片製造商提供大的化學品供應鏈以支援下一代EUV應用的

舊金山2022年7月15日 /美通社/ -- Lam Research 科林研發 (NASDAQ: LRCX)、Entegris, Inc. (NASDAQ: ENTG)和 Mitsubishi Chemical Group旗下 Gelest, Inc, 宣佈了一項策略合作,將為全球半導體製造商提供可靠的前驅體(precursor)化學品,用於Lam Research 科林研發突破性的極紫外線(EUV)微影乾式光阻技術,這是一種生產次世代半導體的創新方法。三方通力合作 EUV 乾式光阻技術的研發,將促進邏輯晶片和 DRAM 產品未來世代的發展,以助力機器學習、人工智慧和行動裝置等先進應用的實現。

強大的製程用化學品供應鏈對於 EUV 乾式光阻技術整合於大量製造是至關重要的。新的長期合作關係將進一步擴大乾式光阻技術的生態系統。再加上來自半導體材料市場領導者的雙重供應,可為全球市場的持續供應提供保障。

此外,Lam Research 科林研發、Entegris 和 Gelest 還將攜手合作,加快開發具成本效益的 EUV 乾式光阻技術解決方案,未來可應用於高數值孔徑(high-NA)EUV 曝光。高數值孔徑(high-NA)EUV被廣泛認為是未來數十年內,元件持續微縮和半導體製程進展所必備的曝光技術。乾式光阻技術可提供高抗蝕刻性與厚度微調,以支援高數值孔徑(high-NA)EUV 的較小景深要求。

Lam Research 科林研發執行副總裁暨技術長 Rick Gottscho 表示:「乾式光阻技術是一項重大突破,它解決了使用 EUV 微影技術微縮 DRAM 和邏輯晶片製程的最大障礙。此次合作將Lam Research 科林研發專精的乾式光阻技術和先進製程解決方案,與兩間具備材料科學優勢和值得信賴的前驅體化學品領導業者結合在一起。乾式光阻技術生態系統的擴展,開啟令人振奮的創新格局,並將推動此技術於大量生產。」

最初由 Lam Research 科林研發與 ASML 和 IMEC 合作開發的乾式光阻技術,提升了 EUV 微影的解析度、生產力和良率,從而解決了下一代 DRAM 和邏輯晶片技術相關的關鍵挑戰。它提供卓越的 dose-to-size 和 dose-to-defectivity 的效能,以提升 EUV 曝光機的生產力並降低持有成本。此外,與傳統光阻製程相比,Lam Research 科林研發的乾式光阻技術可消耗更少的能源,且原料消耗量比之前要少五到十倍,提供了關鍵的永續發展優勢。

Entegris 執行長 Bertrand Loy 表示:「Lam Research 科林研發的乾式光阻技術反映了材料層面的關鍵創新,並且優勢眾多,包括解析度更佳、成本效率更高以及令人信服的永續性效益。我們很自豪能夠成為這項創新合作的一部分,以加速乾式光阻技術的採用,並成為客戶值得信賴的製程材料供應商,因為他們致力於利用這一重要技術創造下一代半導體。」

Mitsubishi Chemical Group 旗下 Gelest 公司總裁 Jonathan Goff 表示:「我們與 Lam Research 科林研發和 Entegris 合作促進 EUV 微影用乾式光阻技術的發展,這表明我們致力於支援晶片製造商在材料科學領域的創新。近年來,我們已經看到 EUV 展現出了非凡的價值,我們很高興成為生態系統的一部分,以擴展其潛力。」

關於Lam Research 科林研發

Lam Research 科林研發為半導體產業提供創新晶圓製造設備和服務的全球供應商。Lam Research 科林研發的晶圓製造設備與服務讓客戶能創建體積更小和效能更好的電子元件。事實上,今天幾乎所有的先進晶片都是利用 Lam Research 科林研發的技術來製造。我們結合卓越的系統工程、技術領先的優勢、和強大的價值導向文化,以及對客戶堅定不移的承諾。Lam Research 科林研發 (那斯達克股票代號: LRCX) 是一家 FORTUNE 500® 公司,總部位於美國加州佛利蒙市,營運遍佈全球。欲了解更多資訊,請造訪 https://www.lamresearch.com/

關於 Entegris

Entegris 是半導體市場電子材料領域的全球領導者。Entegris 擁有約 8,800 名員工,業務遍佈全球,除了針對生命科學和其他先進製造環境的解決方案外,還為半導體客戶提供業界最全面、最創新的端到端產品。Entegris 的解決方案可幫助客戶提高效能、良率和產量,從而實現改變世界的技術。它在美國、加拿大、中國、法國、德國、以色列、日本、馬來西亞、新加坡、韓國和台灣設有製造、客戶服務和/或研究機構。欲瞭解更多關於 Entegris 的資訊,請瀏覽www.entegris.com,或在 LinkedIn、Twitter、Facebook 和 Instagram 上關注我們。

關於 Gelest

Gelest 是一家總部位於美國的創新企業、製造商和專精於特殊矽膠、有機矽烷、有機金屬和丙烯酸單體的全球供應商,服務於醫療設備、生命科學、塗料和接著劑、微電子和個人護理等先進技術終端消費市場。作為 Mitsubishi Chemical Group 旗下子公司的 Gelest,可以運用世界上最大和最成功的化學公司之一的專業知識、研發支援和技術資源。Gelest 透過開發最先進的化學技術、產品和服務,因應社會上最複雜的材料科學挑戰,以協助客戶取得成功。

前瞻性聲明注意事項

本新聞稿中一些非既往事實陳述為前瞻性聲明,這些聲明受 1995 年美國《私人證券訴訟改革法案》的安全港條款約束。這些前瞻性聲明論及但不限於:Lam Research 科林研發、Entegris 和 Gelest 能夠及時提供足夠數量與品質的機台和化學品以滿足客戶需求的能力;三方合作的持續時間、目標或成果;Lam Research 科林研發的機台和製程的效能,特別是透過使用 Lam Research 科林研發的乾式光阻技術獲得的效能和優勢;新技術對 EUV 微影成本和生產力的影響;需要提高 EUV 微影的生產力和解析度;透過使用新技術節省的能源或原料的數量;以及產業合作的效益。這些陳述基於目前預期,並受風險、不確定性以及條件、重要性、價值和效果變化的影響,包括我們向證券交易委員會提交或提供的文件所描述的風險和不確定性,具體包括 Lam Research 科林研發在截至 2021 年 6 月 27 日會計年度的 10-K 表格年度報告和截至 2022 年 3 月 26 日的季度 10-Q 表格季度報告,以及在 Entegris 截至 2021 年 12 月 31 日會計年度的 10-K 表格年度報告和截至 2022 年 4 月 2 日的季度 10-Q 表格季度報告中描述的風險因素。這些不確定性與變化可對前瞻性聲明產生重大影響,導致實際結果與預期有明顯不同。Lam Research 科林研發沒有義務在日後對此新聞稿中發佈的訊息做出更新說明。

 

Lam Research Contacts:
Libra White
Media Relations for Lam Research
(510) 572-7725
[email protected]
Ram Ganesh
Investor Relations for Lam Research
(510) 572-1615
[email protected] 

Entegris Contacts:
Connie Chandler
Media Relations for Entegris
(978) 436-6546
[email protected]

Bill Seymour
Investor Relations for Entegris
(952) 556-1844
[email protected]

Gelest, a Mitsubishi Chemical Group company, Contacts:
Becky Merryman
Media Relations for Gelest
(980) 580-2850
[email protected]

PR Newswire

隨機商業新聞

NordVPN